emuguy
驱动牛犊
驱动牛犊
  • 注册日期2004-07-19
  • 最后登录2004-07-22
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:5142回复:3

请问:如何在vhdl中实现双边沿触发?

楼主#
更多 发布于:2004-07-20 09:38
遇到一个问题,要实现双边沿触发,在触发信号上升沿与下降沿对另一信号赋不同的值。将上升沿与下降沿的判别放在同一进程中不行,会出现“else clause following a clock edge must hold the state of signal”错误,放在不同进程里,又会出现多信号源的问题,请教高手解决方法。
link_bridge
驱动巨牛
驱动巨牛
  • 注册日期2002-11-28
  • 最后登录2011-05-15
  • 粉丝0
  • 关注0
  • 积分31分
  • 威望13点
  • 贡献值0点
  • 好评度2点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2004-07-21 18:44
用芯片里面的资源可以的,你可以咨询FAE.
应该是一个叫fddrrse的东西,帮助里面可以看看
emuguy
驱动牛犊
驱动牛犊
  • 注册日期2004-07-19
  • 最后登录2004-07-22
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2004-07-22 17:16
谢谢link_bridge的帮助

[编辑 -  7/22/04 by  emuguy]
clrboyi
驱动牛犊
驱动牛犊
  • 注册日期2010-10-24
  • 最后登录2010-10-24
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望11点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2010-10-24 13:41
回 楼主(emuguy) 的帖子
你好 你弄好了吗?怎么实现双边沿触发 啊?
游客

返回顶部