wltt163
驱动牛犊
驱动牛犊
  • 注册日期2003-03-08
  • 最后登录2005-08-23
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:1899回复:3

请教在verilog hdl怎样用for循环生成多个相类似的块???

楼主#
更多 发布于:2005-04-30 16:43
请教怎样用for循环生成多个相类似的块???
例如:
module gen(input a,b
      ,input [7:0]c
      ,output[7:0]d
      );
endmodule

module gen_n(input [10:0]u,v
        ,input ??? x //要怎样定义才能在后面的循环中用呢?我目的是要产生10个gen模块。那么x应该是10个8位组。
        ,output ??? y //同x一样!
        );
genvar i;
generate for(i=0;i<10; i=i+1)
begin:gen_loop
gen m1( .a(u),.b(v),
     .c(x),  //这里又该怎样表示呢???
     .d(y)  //同上,该怎样表示???
     );
end
endgenerate

endmodule  
thilo
驱动牛犊
驱动牛犊
  • 注册日期2002-05-19
  • 最后登录2005-06-16
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2005-06-02 21:21
在鄙人所在公司,for loop 是不可以用的。
我劝你也不要用for了吧。
daicy
驱动牛犊
驱动牛犊
  • 注册日期2005-06-15
  • 最后登录2005-06-15
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2005-06-15 10:11
好象for占用资源较多,最好不用吧,至于要生成10个一样的想别的办法好了
wind_jly
驱动牛犊
驱动牛犊
  • 注册日期2005-06-21
  • 最后登录2005-11-28
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望2点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2005-06-25 15:46
定义wire【】 a 【】
用a连线,
然后把a连到输出
游客

返回顶部