lemmon8017
驱动牛犊
驱动牛犊
  • 注册日期2005-06-01
  • 最后登录2005-06-20
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:1325回复:0

请教一下maxplus有关运行方面的问题

楼主#
更多 发布于:2005-06-01 11:14
用VHDL语言编程时,每次编译时都只运行到第一行(library ieee)就停住了,说语法错误。
请哪位指点一下,是masplus程序装的有问题,还是有什么应该设的地方没设到。不知道该怎么改啊。

多谢!!
游客

返回顶部