lemmon8017
驱动牛犊
驱动牛犊
  • 注册日期2005-06-01
  • 最后登录2005-06-20
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:2146回复:3

[求助]实在不知道怎么改,求哪位指点一下!

楼主#
更多 发布于:2005-06-03 19:27
上次发了贴,都没人理我一下。
可是还是实在不会改啊!
求哪位指点我一下吧。我都要急死了!

我是用VHDL语言编程序。但每次用MAXPLUS2编译的时候,
每次都是:
TDF syntax error:Expected  ASSERT ,CONSTANT,DEFINE,
DESIGN,FUNCTION,IF,OPTIONS,PARAMETERS,SUBDESIGN,or TITLE but found a symbolic name\"LIBRARY\"
不知道怎么改啊!
游客

返回顶部