制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀! fenpin模块产生1Hz的脉冲,两个count60分别是分钟和秒的计数模块,count24是小时的计数模块。cl...
全文
回复(3) 2004-05-19 10:29 来自版块 - 电子技术基础
表情
madmanexe问问题是一个捷径 但是踏踏实实的学习才是根本(2004-08-11 16:16)
maccat太简单了 就是没看好书 自学~~~~~~~~~~~~~~~~(2004-07-20 16:26)
jec017下次别跪了,腿会麻的。(2004-05-24 12:45)

返回顶部