jesnzhou
驱动牛犊
驱动牛犊
  • 注册日期2003-01-24
  • 最后登录2006-01-19
  • 粉丝0
  • 关注0
  • 积分17分
  • 威望3点
  • 贡献值0点
  • 好评度1点
  • 原创分0分
  • 专家分0分
阅读:1823回复:3

好人留步!跪求帮助。。。

楼主#
更多 发布于:2004-05-19 10:29
制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk
检查接线没有错误的呀!
 
fenpin模块产生1Hz的脉冲,两个count60分别是分钟和秒的计数模块,count24是小时的计数模块。clr为清零信号,clk为时钟信号
望各位大虾指点。谢谢。

好心人帮助啊。在线等啊。
好人有好报。不胜感激,有留下mail和qq的更好!
谢谢!

[编辑 -  5/19/04 by  jesnzhou]
附件名称/大小 下载次数 最后更新
2004-05-19_cj.rar (124KB)  1
jec017
驱动太牛
驱动太牛
  • 注册日期2002-08-22
  • 最后登录2008-01-09
  • 粉丝0
  • 关注0
  • 积分91分
  • 威望10点
  • 贡献值0点
  • 好评度9点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2004-05-24 12:45
下次别跪了,腿会麻的。
maccat
驱动小牛
驱动小牛
  • 注册日期2001-08-01
  • 最后登录2018-05-29
  • 粉丝0
  • 关注0
  • 积分10分
  • 威望15点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
  • 社区居民
板凳#
发布于:2004-07-20 16:26
太简单了 就是没看好书

自学~~~~~~~~~~~~~~~~
跄~跄~跄~以德服人 答的正确 别忘给分 MY QQ:53738515 做驱动的朋友长联系
madmanexe
驱动牛犊
驱动牛犊
  • 注册日期2004-03-27
  • 最后登录2008-01-04
  • 粉丝0
  • 关注0
  • 积分11分
  • 威望2点
  • 贡献值0点
  • 好评度1点
  • 原创分0分
  • 专家分0分
地板#
发布于:2004-08-11 16:16
问问题是一个捷径
但是踏踏实实的学习才是根本
游客

返回顶部