请问本地fpga读取9054的register,9054应该工作在哪种模式下?(inistiator?还是target?)时序是怎么样的?9054哪些控制管脚起作用?谢谢

2004-06-13 10:50 来自版块 - PLX PCI 开发板支持

reg [7:0] outp;always @ (posedge clk)outp<=8'bz;或者:wire[7:0] outp; assign outp=8'bz;两种情况在maxplus2下仿真时outp一直是0,为什么会这样?如果在将程序下载到片... 全文

2004-06-12 00:01 来自版块 - DSP & PLD &FPGA

我的FPGA要求能够往SRAM中写数据,随后还能从中读数据,我定义数据线为inout类型,为何在maxplus2中综合时总是报错?具体如下:module test3(FDATA,M1AD,MCS,MWE,MOE,LCLK,LED); output MCS,MWE,MOE,L... 全文

2004-06-11 21:34 来自版块 - DSP & PLD &FPGA

我在maxpluss2中使用了inout,可总是报错,如何使用啊?我的FPGA必须能向RAM中写数据,又能够读出来。

2004-06-10 21:50 来自版块 - DSP & PLD &FPGA

我的PCI卡现在只焊接了9054和电容、上、下拉电阻,现在插上主板后启动电脑,电脑象没有插卡一样正常启动,应该是发现新硬件,但是什么也没有发现,焊接经过检查没有虚焊等问题,哪位高人能否帮忙指点一下是什么原因,是不是哪些管脚的连接有问题导致的(比如没有上拉或下拉电阻)?

2004-05-31 23:25 来自版块 - 板卡设计

databook上讲,“Range register value” is inverse of range.Default is 1 MB. Value after Reset is FFF0000h。我怎么算都不是1MB啊?

2004-05-12 22:15 来自版块 - 板卡设计

请问用9054做数据采集卡需要扩展ROM吗?扩展ROM是干嘛用的?

2004-05-12 22:00 来自版块 - 板卡设计

1、9054databook上提到localbus access 9054 internal registers时,用到ccs#,难道不用local address吗?2、9054databook在说明READY#时,when the PCI9054 is a Bus Maste... 全文

2004-04-19 12:36 来自版块 - 板卡设计

我正在用9054做一块数据采集卡,local interrupt设计有4种,我看databook上,只有一个intr输入管脚,如何区分啊?本来打算响应中断后让pci host读mailbox register来区分,但是9054的ld[31:0]我全部接在双口RAM上,local... 全文

2004-03-04 19:35 来自版块 - 板卡设计

我看到资料上讲FPGA的参数有“典型门数”“RAM bit”,一位FAE指着一个“RAM bit=59904”的片子,对我说达到60000门,请问这里门数是指典型门数吗?典型门数是不是指与非门的门数?谢谢

2004-02-19 17:26 来自版块 - DSP & PLD &FPGA

我在9054的data book上讲initiator mode时看到remap一词,我不理解是将local 空间映射到系统空间,还是将系统空间映射到local空间,望热心人给我解释一下,谢谢。

2004-02-12 21:38 来自版块 - 板卡设计


返回顶部